Author Affiliations
Abstract
1 Département d’Optique P. M. Duffieux, Institut FEMTO-ST, UMR 6174 CNRS, Université Bourgogne Franche-Comté, 15B Avenue des Montboucons, 25030 Besan?on Cedex, France
2 Optics & Photonics Technology Laboratory, Ecole Polytechnique Fédérale de Lausanne (EPFL), Rue de la Maladière 71b, Neuchatel CH-2000, Switzerland
Strong nonlinear, electro-optical, and thermo-optical properties of lithium niobate (LN) have gained much attention. However, the implementation of LiNbO3 in real devices is not a trivial task due to difficulties in manufacturing and handling thin-film LN. In this study, we investigate an optical device where the Bloch surface wave (BSW) propagates on the thin-film LN to unlock its properties. First, access to the LN film from air (or open space) is important to exploit its properties. Second, for sustaining the BSW, one-dimensional photonic crystal (1DPhC) is necessary to be fabricated under the thin-film LN. We consider two material platforms to realize such a device: bulk LN and commercial thin-film LN. Clear reflectance dips observed in far-field measurements demonstrate the propagation of BSWs on top of the LN surface of the designed 1DPhCs.
(240.0310) Thin films (130.3730) Lithium niobate (160.5298) Photonic crystals (240.6690) Surface waves. 
Photonics Research
2017, 5(6): 06000649

关于本站 Cookie 的使用提示

中国光学期刊网使用基于 cookie 的技术来更好地为您提供各项服务,点击此处了解我们的隐私策略。 如您需继续使用本网站,请您授权我们使用本地 cookie 来保存部分信息。
全站搜索
您最值得信赖的光电行业旗舰网络服务平台!